Ripple Carry Adder: Arbeid, typer og dens applikasjoner

Prøv Instrumentet Vårt For Å Eliminere Problemer





I digital elektronikk legge til to-bits binære tall kan være mulig ved å bruke halv hugger . Og hvis inngangssekvensen har en tre-bits sekvens, kan tilleggsprosessen fullføres ved å bruke en full adder. Men hvis antall biter er mer i inngangssekvensen, kan prosessen fullføres ved å bruke halv adder. Fordi fulladder ikke kan fullføre tilleggsoperasjonen. Så disse ulempene kan løses ved å bruke “Ripple Carry Adder”. Det er en unik type logikkrets brukes til å legge til N-bitnumrene i digitale operasjoner. Denne artikkelen beskriver en oversikt over hva som er ringbære-adder og dens drift.

Hva er Ripple Carry Adder?

En struktur av flere fulladdere er kaskadert på en måte som gir resultatene av tillegg av en n-bit binær sekvens. Denne adderen inkluderer kaskade fulladdere i strukturen, så bæringen vil bli generert på hvert fulladdertrinn i en krusebæreadderkrets. Disse bærer utdataene ved hvert fulladdertrinn videresendes til sitt neste fulladder og brukes der som bæreinngang til det. Denne prosessen fortsetter til sitt siste fullstendige adderstadium. Så, hver bæreutgangsbit blir ripplet til neste trinn i en full adder. Av denne grunn blir den kalt “RIPPLE CARRY ADDER”. Det viktigste ved det er å legge til inngangssekvensene, enten sekvensen er 4 eller 5 bit eller noen.




“Et av de viktigste punktene som skal tas i betraktning i denne bæreopptakeren, er at den endelige produksjonen kun er kjent etter at utgangene er generert av hvert fulle adderstrinn og videresendt til neste trinn. Så det vil være en forsinkelse for å få resultatet med bruk av denne bæremidlet ”.

Det er forskjellige typer i krusningsbærende tillegg. De er:



  • 4-bit ripple-bære hugger
  • 8-bit ripple-bære hugger
  • 16-biters ringbærer

Først begynner vi med 4-biters ringbære-addere og deretter 8-biters og 16-biters ringbære-addere.

4-bit Ripple Carry Adder

Diagrammet nedenfor representerer 4-biters ringvirkemiddel. I denne adderen er fire fulle addere koblet sammen i kaskade. Co er bæreinngangsbiten, og den er alltid null. Når denne inngangen bærer 'Co' på de to inngangssekvensene A1 A2 A3 A4 og B1 B2 B3 B4, blir deretter utdata representert med S1 S2 S3 S4 og utgangsbæring C4.


4-biters RCA-diagram

Arbeid av 4-bit Ripple Carry Adder

  • La oss ta et eksempel på to inngangssekvenser 0101 og 1010. Disse representerer A4 A3 A2 A1 og B4 B3 B2 B1.
  • I henhold til dette adderkonseptet er input bære 0.
  • Når Ao & Bo brukes på første fulladder sammen med input bære 0.
  • Her A1 = 1 B1 = 0 Cin = 0
  • Sum (S1) og bære (C1) vil bli generert i henhold til sum- og bærelikningene til denne addisjonen. I henhold til teorien er utgangsligningen for summen = A1⊕B1⊕Cin og Carry = A1B1⊕B1Cin⊕CinA1
  • I henhold til denne ligningen, for første fulladder S1 = 1 og Carry output dvs. C1 = 0.
  • Samme som for neste inngangsbiter A2 og B2, utgang S2 = 1 og C2 = 0. Her er det viktige punktet at det andre trinnet fulladder får input-carry, dvs.
  • Slik får du den endelige utgangssekvensen (S4 S3 S2 S1) = (1 1 1 1) og utgangsbære C4 = 0
  • Dette er tilleggsprosessen for 4-biters inngangssekvenser når den brukes på denne bæreadderen.

8-bit krusningsadder

  • Den består av åtte fulle addere som er koblet sammen i kaskadeform.
  • Hver fulladderbæreutgang er koblet som en inngangsbære til neste trinns fulladder.
  • Inngangssekvensene er betegnet med (A1 A2 A3 A4 A5 A6 A7 A8) og (B1 B2 B3 B4 B5 B6 B7 B8) og dens relevante utgangssekvens er betegnet med (S1 S2 S3 S4 S5 S6 S7 S8).
  • Tilleggsprosessen i en 8-bits ringbære-adderer er det samme prinsippet som brukes i en 4-bit ringbære-adder, dvs. at hver bit fra to inngangssekvenser skal legges sammen med inngangsbære.
  • Dette vil brukes når du legger til to sekvenser med binære sifre på 8 bit.
8bit-ripple-bære-hugger

8bit-ripple-bære-hugger

16-bit Ripple Carry Adder

  • Den består av 16 fulladdere som er koblet sammen i kaskadeform.
  • Hver fulladderbæreutgang er koblet som en inngangsbære til neste trinns fulladder.
  • Inngangssekvensene er betegnet med (A1… .. A16) og (B1 …… B16) og dens relevante utgangssekvens er betegnet med (S1 …… .. S16).
  • Tilleggsprosessen i en 16-biters ringbære-adderer er det samme prinsippet som brukes i en 4-biters ringbære-adder, dvs. hver bit fra to inngangssekvenser kommer til å legge sammen med inngangsbære.
  • Dette vil brukes når du legger til to sekvens med 16 bit binære sifre.
16-bit-ring-bære-adder

16-bit-ring-bære-adder

Ripple Carry Adder Sannhetstabell

Sannhetstabellen nedenfor viser utgangsverdiene for de mulige kombinasjonene av alle innganger for ripple-carry-adder.

A1 A2 A3 A4 B4 B3 B2 B1 S4 S3 S2 S1

Bære

0

000000000000
010001001000

0

1

000100000001
101010100100

1

110011001000

1

111011101100

1

111111111110

1

Ripple Carry Adder VHDL-kode

VHDL (VHSIC HDL) er maskinvarebeskrivelsesspråk. Det er et digitalt designspråk. VHDL-koden for denne bæreadderen er vist nedenfor.

bibliotek IEEE
bruk IEEE.STD_LOGIC_1164.ALL

enhet Ripplecarryadder er
Port (A: i STD_LOGIC_VECTOR (3 ned til 0)
B: i STD_LOGIC_VECTOR (3 ned til 0)
Cin: i STD_LOGIC
S: ut STD_LOGIC_VECTOR (3 ned til 0)
Cout: ut STD_LOGIC)
slutt Ripplecarryadder

architecture Behavioral of Ripplecarryadder is - Full Adder VHDL Code Component Declaration
komponent full_adder_vhdl_code
Port (A: i STD_LOGIC
B: i STD_LOGIC
Cin: i STD_LOGIC
S: ut STD_LOGIC
Cout: ut STD_LOGIC)
sluttkomponent

- Mellomliggende bæreerklæring
Signal c1, c2, c3: STD_LOGIC

begynne

- Port Mapping Full Adder 4 ganger
FA1: full_adder_vhdl_code port map (A (0), B (0), Cin, S (0), c1)
FA2: full_adder_vhdl_code port map (A (1), B (1), c1, S (1), c2)
FA3: full_adder_vhdl_code port map (A (2), B (2), c2, S (2), c3)
FA4: full_adder_vhdl_code port map (A (3), B (3), c3, S (3), Cout)

slutt Atferdsmessig

Ripple Carry Adder Verilog Code

Verilog-koden er et maskinvarebeskrivelsesspråk. Den brukes i digitale kretser på RTL-scenen for design og bekreftelse. Verilog-koden for denne bæreopptakeren er vist nedenfor.

modul ripple_carry_adder (a, b, cin, sum, cout)
inngang [03: 0] a
inngang [03: 0] b
input cin
utgang [03: 0] sum
output cout
ledning [2: 0] c
fulladd a1 (a [0], b [0], cin, sum [0], c [0])
fulladd a2 (a [1], b [1], c [0], sum [1], c [1])
fulladd a3 (a [2], b [2], c [1], sum [2], c [2])
fulladd a4 (a [3], b [3], c [2], sum [3], cout)
endemodul
fulladd modul (a, b, CIN, sum, cout)
inngang a, b, cin
utgangssum, cout
tilordne sum = (a ^ b ^ cin)
tilordne cout = ((a & b) | (b & cin) | (a & cin))

Ripple Carry Adder-applikasjoner

Ripple-carry-adder-applikasjonene inkluderer følgende.

  • Disse bæretilleggene brukes mest i tillegg til n-bit inngangssekvenser.
  • Disse bæretilleggene er anvendbare i digital signalbehandling og mikroprosessorer .

Ripple Carry Adder Fordeler

Fordelene med ringbære-adder inkluderer følgende.

  • Denne bæreadderen har en fordel som at vi kan utføre tilleggsprosess for n-bitsekvenser for å få nøyaktige resultater.
  • Utformingen av denne huggeren er ikke en kompleks prosess.

Ripple bære huggorm er et alternativ for når halv adder og fulle addere ikke utfører tilleggsoperasjonen når inngangssekvensene er store. Men her vil det gi utdata for uansett inngangssekvenser med litt forsinkelse. I henhold til de digitale kretsene, vil ikke kretsen gi utgang med forsinkelse å foretrekke. Dette kan overvinnes med en bæreblikk-adderkrets.